AZTEC: Test example from Andre Maute, mailing list 2020-12-16

This commit is contained in:
gitlost 2020-12-16 16:19:30 +00:00
parent fe70911ea3
commit 2c3c7293d0

View file

@ -1114,7 +1114,140 @@ static void test_encode(int index, int generate, int debug) {
"1000000100011011110011111011110000011111110111001111111010110101100011000111010100100010001111000101110110110100000111000011101011011101111111000011111"
"1000110110001001001111110010011100000100011010101101101101101001001001011110101010011110010011011110100111100111110111111110000101100111110000101010011"
},
/* 29*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "0", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (1st)",
/* 29*/ { BARCODE_AZTEC, DATA_MODE, -1, -1, -1, 31, "aztec barcode", -1, 0, 131, 131, 1, "Layers 27 example from Andre Maute, mailing list 2020-12-16",
"10101111100010101000001110000100001111111110110110010011000100100000011000101001100000001111111010100010010101111010001011001110001"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10001110111111000001001111011100100100010011110010101011110111111000000110101100000110101010110000010101101110010010010101001001001"
"01011001101100001111011110100110011101100100000111011100011110111100111011101100010001000101010011011110111101111101110001011110110"
"00010011111010010000101100000000000101100100001110001110011110010010100010101111100000011110100000010100110001100001010010110011000"
"11001001110100100101100011010001110000110110011111011000000100010101001010000111111010010011110101110011001111010110000101111111010"
"10111000100010000011110011100111101111010100001010111010110011010010101010001111000010011101110110111101011100010001111010011110100"
"01100011011111101110100010111100110000110010011111010011011010110110001000110011110010100110011111000101011001011110001011010101111"
"10111011100010110001000011000011001010001000111010011010000011000000101101111011000100110001111110110001010011100001101001000110101"
"01101011010010000111110000000000010100010111001001101011100011010110011001110011110000000101011001010011011110101111100101010011010"
"00101000011001101010110101101101100000111101001100100001110011101001000010100001000100001111001010100001010111010011110010111101100"
"01001111101011110101110001001000111001011110110101101011000010010111101001110001110011000110000101010011000010011111100111011001011"
"10101100000010001010110001101001001000111110110100111110111001011011101010111000001101111011011100011111101101011000100001111101001"
"01001001100010001100011111110001110000101100101001010010001010010101110111011111011100000100011011111001111001110110000110000101011"
"00100100111100100010001110101011101010001011011110110001100000110010000010100010100110100100111110111001110001100000110111101010100"
"01011001010010101111111010000100110000111000011001100111010101111110011101100011010000100011101101010001101111001100010100111011111"
"00000110100101111000011110111001001111101001100000001101001101101010000000110111001101010110100000111111101111001011100000010010001"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"00010001111000001001010101001011001100010011011110101010111011101010001010001000100111101100101110111010000110100001000101111100101"
"11000000111111110111010110110110011001111100111011010111010010101100100000001111010100001010000011101010111111110111001110001101011"
"00010111001101000010010100010101001011100101000010011000100010101011101010110100101101111000001100100111111101111000011101100111000"
"11101100100010000101010011011101110110110000100111100000001001000101101110011001111000000111010101001111101100010111001001010000011"
"00001100100011000001100101011111100101110001010100011100100110001001111101011000001101010000101000100111110100111010001101100100100"
"01100111110100011101010010110000011010110001111011010110011010100111001101101001011101001000000001110001010001000110100001101010010"
"00100000001100011011000001111010000110011001001100111110101110111000100000110011001101010100110100011000000110111011111100111010000"
"01010000101101010101110101101101110010001000000101010001011100101110101000000010111101000110000011100111101001110101110111111000010"
"10110110101101011011001101001000000011000000010010010100101000011010110111011000001001101101011110001000010000100001011101000111001"
"01001011100011001110101011100111111001111110010101001111111011100100010111111000011110101011011101110010100011000101001110000001011"
"10011001111000000000001111011101000111011111110000010110000111111001001011101100001010110000110010100001000001100010000000001101100"
"01111111110110110110111011000110110111010000000101000111011111000101001011111010011101110011100111001110011100110111110101111001111"
"00000010101110011001001111000100001011110001010000001011101010011010100110000100001011101101101100111010011110111011101001001010000"
"01000001101111101101100110000100010111000001101001011011011110010100001001000100010101001001000111101111101011100100011001100111110"
"00011110000110100011011011001100100110011111011010111001001010100010101101001000101000001010101110101100111010000000101110011010100"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10001110011000100010010001101100000110110000101100111001100100110001111111110011000111011101111010011110011111001011001000010000001"
"11000000010111110110000011011100011110000110010111111111111001011111010111010110011110011000101101110011011101001100011001000000110"
"00111011101000001010000010100010100001111010110000110110101011110000001000011111101110100010111010110101101101100010010110100000000"
"11000110110101110101111000000101011001101100101011100000010001000111111111111110110110001101111101100111101101101100010011001101111"
"10101111001011100011010011000110000101100011111000001000111110011000000011011100001100011001111100101110010110100000101010101110100"
"01111100001011001111100010011101110111101100000001110001101000000100100110000001011101101010111101101000110001111110000001110101010"
"00001100111011011001110001010001100010110011010010001111000110100001111110001110000110101011000010001000001111001001101011001000000"
"01110110101101011100010100001001110110111001011101011101010111000111110011001011011010110010011011110111000100011111101010101100010"
"00110110110101010011001000111101001110101111000010100111111110110000010100001011101011110100011000001100010111111011010100000001001"
"01100000111100110110110010101010110011101101010101001111111110011100111000001110111000111101011101001101011000001101001110011101110"
"00010011010001100010010000001110000001001011001000111111000111000011101010000011001101001010010000011010101000000001010001000010000"
"11011000101000110111001100011101111000011000011001100111011010110110101101011011010000001111101001110100110010111110100000011000010"
"00011110000110001001000010101000100100110111010010000100001111100000001111010010100010110011001100100010000100011001010101100000100"
"01011101100100110111011100110000011100100101011001010000111110101110100110010001110110000111000011010000101010001111110011011001110"
"00111101100111011000010100100110100011000110000000010010100001101000001111010100100110010010011000101010110100110010111001110100101"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"00111000001111011001000100110111100100110001000110001011000101010001001100111100101101000011111100111101000100101001010110101011101"
"01011111010111010110011110110010111110101001000011011110011110010100100101000110010110010110101001001010000011011100101110011010111"
"00001000010011010001000110010011000010111100000100001001101001110001010101001111001010100001101100000001010011001000100101100100001"
"01101010100101111100001001001000111010111111001111100000110001101111001001000100010010001010001011000101010010011111000101000011011"
"00000011010001101000111111100100001001100111011100000110101011111011000100001110100110011001001100110010001010111000011010101000100"
"11010101010100001110111111011001011010100000100001010100110101010101011110011011111011010100110111001000011011100110000110110011010"
"00000101001101101010100100111011101111101101100110101010010011000011011011110011001100101101000000101000000010110011001111010100101"
"11110110001100110111101101010110010100011001001111001001001010001110010010100000011011010010100001110011110101101111111001010111111"
"00110001011100010011111000001001100110011101011100111010001111010000000011110011101110010100111100110010111101001010111101001110100"
"01101001000110001110111111010101110010101011100111011100101111111111111110010000110110000010011111111000011010100110101010100010111"
"00111011111011000001100101100100001101011101011010001000101100000000000101000001001110101111000110111111110110000010001110101000001"
"11010010101110010111101110011111110011001100100101000010011101111111110101101111111000101000010001010110001110100101101010111000010"
"00001011001111111010000010001001001110111000000110001001001101000000010101011010001011011110001100001101100100011011111010101101000"
"11000011000000101100000010010001110001101010111001101011111101011111010110111111111001100001100111000000111011001111101110001101110"
"10101011010001000010001110011110100001110000001110110000101101010001010100000100001111011001111010000110000101100001001000111111000"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10000001101001111011010001101101000110011100001100110111111101010001010111111001100110010110000100111101111011101011111100000000000"
"01100010100010111111110001011100110011010001010011011001010101011111010100111001111000011100111101111101011101010111001000000111010"
"10001110101111110001001100101101100010101101100010111110000101000000010111101110000010111100101110100110011001101010111101011001100"
"11101000101001111101011101100011110101111011000111011100111101111111110101101100010010010011001011100010111010100110101110000110111"
"00011111000101111010101101100110101010101111111010101000110100000000000101011100100111111000010010011111011101011001011111101101100"
"01001110110110000111110101110101110001011110111111011110110111111111111110000001010000000110110011000011111111001110101101110100011"
"10000001101010010011110100000101000010110100110100110000110010010001011000100011100101100010010110000001110010101010010101001001101"
"11001101011000110101110001111010111101101010100111001000000100011110111100010001110001110110000111000100111001110111000100001101110"
"10010000001010100000100110001010000001010010101010010000111101100010010010100110001100011011101010011100110111000011010111100101000"
"01001101110110000101100001001111010110010100111111101110100001100101000101011101011001101110100011100100100110010100011110110110110"
"10111001110000110011100100001010001001011111111010010101011001111011000010010011000011001111111010011111011000110000001001111110100"
"01010010101010011110101111101100110100001110110111100010001111010100010011111000010110010100011001110110111000011110101101000010010"
"10101001111100001000011101000001000011001011010010111011100000101001000000101101100101011100111010101110001010111010011100000011001"
"11010111100100000111010000000100011011101010001101011001010100000100101000111001011110101110001111111001111001111111011100101001011"
"10001001111001001011100001100101000001100011010000100001000111110001001111100000001000111101010100011010101010011011110101110110100"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"00100101110111111001101000000010100111110001011010010011110100011010000010010100101111011111110110001100110100011010101100000010100"
"01001101110010000100000101001100010001000101010011101000000100000100100110100111111101010100100001010001000011000111110110001111011"
"10001001010001101010010110011111100010001000010100010101100001100011000110011000100101111100100010001010110110010001111001000110000"
"11100111010001110110100001001111010111001001110111001101100001001111010110110011011000011100101001000001010101100100000010111011011"
"10010100010000000001111110100111101111000000011100100100000101011001001101101010101101101100011010101000101101110000111101101000001"
"11011100101111010111010100100101110010100100100101001011110110000111110011110010110001111101101001110001101010100110100101110100010"
"00110011101011000000110000010110101001111000110000111001110111110001110101110001000000011111100010110011111111100010011001010100100"
"11001011110000000101011010000001011010000010011001100000001001011110000000010001010000101000110001110110000001110111001000101010111"
"00011100000000110001101011111110100000010001101110001011000110100011011101000100000101101011011100111000001010100011101111010101001"
"01010001010010011100101101001001110110100011111011100000001111010100001100010000110001011100101101110100110011111110010010000101110"
"10000001010011100010000000111111100010010000100010111011110010101000101100000101100100010011010010000101000111001010101101001111101"
"01110011110111111110011110000010111100100011010101111100100100011110100100011001011100101100011111110011101101010111111111101100110"
"00100101011010001011010000100110000110101010110110110110001101000000000100011000001010001000010010101111000100011011100001111000101"
"11100110011100011100101010011100011011010000011111100111101100010100000011010000010100100000001011111101001100111110000110100101011"
"10010100001110110000000111101110001001001101111110010100100100101011011010001111001000101011000110011001011111101011110110000000100"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10111000010101100001100011100000000100000100101000000111111011100000111011101101100000011111111000011011101010100001111001011010101"
"01100100010101101110101010001011011011011100111011001000000100010110111001010000110011010000101011000101111000010100111001101001011"
"10001110010010010011011100101001000011000110100010110111001000101011010001110001000001100101101100000000101111001011011100111111000"
"01000101001011111111111101011111111001000011100011010000110011101110111110000001111101001100110101101001010000000101101010010100111"
"00111010101100100011110010101001101000110110101110011000111011101000111000110110001101000001010100000011100110110010000100101111101"
"11111011101000100111000000011000110110111111110011011100110111110101101000010011111000110000011001010101100011110111011101000101110"
"10001110101010101001011000000100001101010101111010000111110110101000111000010010101001010010001100111111000001010010100111111000100"
"11100111011000100100001000011010011001110000000001111001101010001111111111000010110001000101000001011010011000111101010000101101111"
"00001011101001000001100010010001001110101010010010011100111000001001110101010101101110010001100010110101001000010000101110010111000"
"11011101100000111111001001111001010001110001100111111000100101101110101010101110011001011011000111000011011010111111101011011110111"
"10010100110100100010001111000110001110000001101010000000101011001001011101111111101001000000000010111100011000110001101000011110101"
"11101100000100111100101100101100111010011110111111010100101101010111001101001100111110000000111111011011000101001111011011100110111"
"10101001100001010011101100011100101001111010011000000110110001011000001000000101001111111001101010011110111000111011101000101110101"
"01001010100101101101010100000001010000011001101111000001000011101110000011111000011110000001000001111101111111111111101110100100011"
"10101101000110101010100000000001000111110111111010011000111001011000101101101101000011000101011100010010000000110011100101001000101"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10011011001010011011111010010110100001000101010110001110001011111000110110010001000110001100111000010001100101110001000111100011101"
"11100100101011001110001010000011010110011000010111110000001000011101100110111010111011000110000001100111110001000100110100001000110"
"10101001001011011000111000110010001010011101110000110110010000010000011111000000000010100001010100111001011111011010001001011011101"
"01100000001011001101101000101111111011001010011101011110011001011100110000001010011000010000010111111001111110101101001101110110110"
"10000011011100100001000010111111101011010011010010011111011010010001000001000001101000101000111010111100110000110011011010100000100"
"11001001000110111111000011001101110110101101000111000011001000010100100011001100110000100110010111000001000000010101011111000011111"
"10101010101001111010010101110100001100110100110000001010101010010000110011101001001001110111111010100100100001010001111001010101001"
"11110000111000111111110010110001011000010001111101101100110100001101000110011101011000000001000111011011101110000101010000100010010"
"10110001100000011010111110000101100101000000110000010110101100011001110001101000101001101001010000010010111000100000111010100010001"
"11110010110100011101100100111100010111011100001111011101101100000111011110011111111001000010111111101100111111110101110000101001010"
"00010111010101110011011101100100101011000101001000111100101110111000101001111110101111000010011110010111011110000011100010011001100"
"01000100010011111110101010011101110011010101110001001100110111100101001111010001111000111011011101111001001011111101101111100101011"
"00011000000101000011011001001011100101101100001110101000010111001011101011001100100010001000011110010010011010011010001101000101001"
"11001101000110000101110000001101110010010111000111001111110111011101100110011000010110000101011001011001110110010110100111100010011"
"00110011100010101000010110010101100101101100000110100010100010111011101100111001101100111101011100001101010111110000101110101001001"
"01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010"
"10111010011101110010010111100011101001001011100010101101110000011000110101000011100000011000101000101010001110100000000100101100001"
},
/* 30*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "0", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (1st)",
"11101010101"
"11111111111"
"01000000010"
@ -1127,7 +1260,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00101010100"
},
/* 30*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "25", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (2nd)",
/* 31*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "25", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (2nd)",
"11101100101"
"11111111111"
"01000000011"
@ -1140,7 +1273,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00100100000"
},
/* 31*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "125", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (3rd)",
/* 32*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "125", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (3rd)",
"11110101101"
"11111111111"
"11000000011"
@ -1153,7 +1286,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00111101000"
},
/* 32*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "255", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (4th)",
/* 33*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "255", -1, 0, 11, 11, 1, "ISO/IEC 24778:2008 Figure A.1 (4th)",
"11010101001"
"11111111111"
"01000000011"
@ -1166,7 +1299,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00110011100"
},
/* 33*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "1", -1, 0, 11, 11, 1, "",
/* 34*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "1", -1, 0, 11, 11, 1, "",
"11101010101"
"11111111111"
"11000000011"
@ -1179,7 +1312,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00100110100"
},
/* 34*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "15", -1, 0, 11, 11, 1, "",
/* 35*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "15", -1, 0, 11, 11, 1, "",
"11101001001"
"11111111111"
"11000000011"
@ -1192,7 +1325,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00001111100"
},
/* 35*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "16", -1, 0, 11, 11, 1, "",
/* 36*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "16", -1, 0, 11, 11, 1, "",
"11101110101"
"11111111111"
"11000000010"
@ -1205,7 +1338,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00111100100"
},
/* 36*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "63", -1, 0, 11, 11, 1, "",
/* 37*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "63", -1, 0, 11, 11, 1, "",
"11100101001"
"11111111111"
"11000000011"
@ -1218,7 +1351,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00101010000"
},
/* 37*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "64", -1, 0, 11, 11, 1, "",
/* 38*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "64", -1, 0, 11, 11, 1, "",
"11111010101"
"11111111111"
"01000000010"
@ -1231,7 +1364,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00111011100"
},
/* 38*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "65", -1, 0, 11, 11, 1, "",
/* 39*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "65", -1, 0, 11, 11, 1, "",
"11111010101"
"11111111111"
"11000000011"
@ -1244,7 +1377,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00110111100"
},
/* 39*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "126", -1, 0, 11, 11, 1, "",
/* 40*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "126", -1, 0, 11, 11, 1, "",
"11110101001"
"11111111111"
"01000000010"
@ -1257,7 +1390,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00110111000"
},
/* 40*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "127", -1, 0, 11, 11, 1, "",
/* 41*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "127", -1, 0, 11, 11, 1, "",
"11110101001"
"11111111111"
"11000000011"
@ -1270,7 +1403,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00111011000"
},
/* 41*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "128", -1, 0, 11, 11, 1, "",
/* 42*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "128", -1, 0, 11, 11, 1, "",
"11001010101"
"11111111111"
"11000000010"
@ -1283,7 +1416,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00100010000"
},
/* 42*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "191", -1, 0, 11, 11, 1, "",
/* 43*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "191", -1, 0, 11, 11, 1, "",
"11000101001"
"11111111111"
"01000000011"
@ -1296,7 +1429,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00100010100"
},
/* 43*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "192", -1, 0, 11, 11, 1, "",
/* 44*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "192", -1, 0, 11, 11, 1, "",
"11011010101"
"11111111111"
"11000000010"
@ -1309,7 +1442,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00110011000"
},
/* 44*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "225", -1, 0, 11, 11, 1, "",
/* 45*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "225", -1, 0, 11, 11, 1, "",
"11010010101"
"11111111111"
"11000000011"
@ -1322,7 +1455,7 @@ static void test_encode(int index, int generate, int debug) {
"01111111111"
"00001100100"
},
/* 45*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "254", -1, 0, 11, 11, 1, "",
/* 46*/ { BARCODE_AZRUNE, UNICODE_MODE, -1, -1, -1, -1, "254", -1, 0, 11, 11, 1, "",
"11010101001"
"11111111111"
"11000000010"